2021
DOI: 10.3390/mi12020169
|View full text |Cite
|
Sign up to set email alerts
|

A RF Redundant TSV Interconnection for High Resistance Si Interposer

Abstract: Through Silicon Via (TSV) technology is capable meeting effective, compact, high density, high integration, and high-performance requirements. In high-frequency applications, with the rapid development of 5G and millimeter-wave radar, the TSV interposer will become a competitive choice for radio frequency system-in-package (RF SIP) substrates. This paper presents a redundant TSV interconnect design for high resistivity Si interposers for millimeter-wave applications. To verify its feasibility, a set of test st… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
6
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
7
2

Relationship

0
9

Authors

Journals

citations
Cited by 11 publications
(6 citation statements)
references
References 36 publications
0
6
0
Order By: Relevance
“…First of all, a 4 × 4 TSV array is simulated and discussed. The establishment and simulation steps of the finite element model are shown in Figure 2 [ 3 , 27 ]. Figure 3 shows the structural model between the two TSVs.…”
Section: Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…First of all, a 4 × 4 TSV array is simulated and discussed. The establishment and simulation steps of the finite element model are shown in Figure 2 [ 3 , 27 ]. Figure 3 shows the structural model between the two TSVs.…”
Section: Methodsmentioning
confidence: 99%
“…As an extension of Moore’s Law, three-dimensional integrated circuits have received more and more attention [ 1 ]. Three-dimensional microsystem (3D microsystem) technology has the advantages of miniaturization, integration, intelligence, low cost, high performance, mass production and so on, and is widely used in various fields [ 2 , 3 , 4 ]. Because of the increasing power density in a smaller area and the higher thermal resistance, the temperature distribution on the chip increases significantly in the stacking of a 3D microsystem, and the thermal problem becomes particularly serious.…”
Section: Introductionmentioning
confidence: 99%
“…HBM has a 3D stacked structure based on through-silicon vias (TSVs). The development of silicon interposer interconnection technologies, such as interposer channel and TSV, are essential for the development of next-generation HBM and chip-to-chip interfaces [ 1 , 2 ]. HBM is designed as a parallel interface structure with 1024 IOs [ 3 ].…”
Section: Introductionmentioning
confidence: 99%
“…Through-silicon via (TSV) is a key structure of 3D integrated circuits (IC) [1][2][3][4][5]. TSVbased 3D ICs have a shorter interconnect length, higher integration density, faster data communication, and lower power consumption [6][7][8][9][10].…”
Section: Introductionmentioning
confidence: 99%