2015
DOI: 10.1016/j.vlsi.2015.01.001
|View full text |Cite
|
Sign up to set email alerts
|

A new write assist technique for SRAM design in 65 nm CMOS technology

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
13
0

Year Published

2016
2016
2021
2021

Publication Types

Select...
5
1

Relationship

0
6

Authors

Journals

citations
Cited by 10 publications
(13 citation statements)
references
References 27 publications
0
13
0
Order By: Relevance
“…Application of a negative voltage −∆V instead of ground leads strengthening of the access device connected to cell node storing a high voltage and considerable improvement of write-ability of the cell [19][20][21][22][23][24][25] . Negative bit-line voltage has been produced by the use of C Boost instead of a separate negative voltage generator charge pump circuit.…”
Section: Negative Bit-line Assist Techniquementioning
confidence: 99%
See 1 more Smart Citation
“…Application of a negative voltage −∆V instead of ground leads strengthening of the access device connected to cell node storing a high voltage and considerable improvement of write-ability of the cell [19][20][21][22][23][24][25] . Negative bit-line voltage has been produced by the use of C Boost instead of a separate negative voltage generator charge pump circuit.…”
Section: Negative Bit-line Assist Techniquementioning
confidence: 99%
“…Design proposed in Ref. [18] uses replica bit-line and replica buffer to determine the time when C boost should be connected to bit-line. REBL together with the delay block is used to determine the time when boosting capacitor should be connected to the bit-line.…”
Section: Negative Bit-line Assist Techniquementioning
confidence: 99%
“…Although supply voltage scaling has been proved to be the most effective method for energy saving [1,2,3,4,5,6], it will seriously deteriorate the read stability and write ability of the memory cell. Moreover, due to the process variations existing, the performance described above will be further deteriorated in low voltage, also in the future process nodes, which will result in the failure probability of read or write operations increasing [2,6,7,8,9,10]. Thus, the trade-off between performance and power should be considered.…”
Section: Introductionmentioning
confidence: 99%
“…To improve the write margin and read stability for conventional 6T SRAM, different types SRAM cell topologies as well as assist circuit has been proposed [1,2,3,6,7,8,9,10,11,12,13]. Decoupling the storage nodes with bit-line or lowering the voltage drop between the driver and access transistors is the efficient way to enhance read stability [11].…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation