1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051)
DOI: 10.1109/iccad.1999.810667
|View full text |Cite
|
Sign up to set email alerts
|

A methodology for correct-by-construction latency insensitive design

Abstract: In Deep Sub-Micron (DSM) designs, performance will depend critically on the latency of long wires. We propose a new synthesis methodology for synchronous systems that makes the design functionally insensitive to the latency of long wires. Given a synchronous specification of a design, we generate a functionally equivalent synchronous implementation that can tolerate arbitrary communication latency between latches. By using latches we can break a long wire in short segments which can be traversed while meeting … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
58
0

Publication Types

Select...
3
3

Relationship

0
6

Authors

Journals

citations
Cited by 92 publications
(58 citation statements)
references
References 28 publications
(13 reference statements)
0
58
0
Order By: Relevance
“…Latency-insensitive protocols were proposed in [11] and, then, applied to synchronous hardware design in [10,12]. A complete presentation of latency-insensitive design is given in [9], which includes a detailed discussion of the analysis and optimization of latencyinsensitive systems.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Latency-insensitive protocols were proposed in [11] and, then, applied to synchronous hardware design in [10,12]. A complete presentation of latency-insensitive design is given in [9], which includes a detailed discussion of the analysis and optimization of latencyinsensitive systems.…”
Section: Related Workmentioning
confidence: 99%
“…The application of latency-insensitive design to integrated circuits provides two main advantages [10]: (a) it enables the a-posteriori automatic pipelining of long wires by insertion of special patient processes called relay stations; (b) it facilitates the assembly of pre-designed components, that, as long as they are stallable, can be interfaced to the communication protocol without changing their internal structure. Assume that each process of Example 2 is implemented as a distinct finite state machine (FSM) on an integrated circuit and that the wire carrying signal x from Q to R is the only one that has been pipelined by introducing one relay station.…”
Section: Examplementioning
confidence: 99%
“…In system graphs that include multiple SCCs, the implementation of the protocol can be checked by two inequalities (1) and (2). In system graphs that include DAG, the implementation of the protocol can be checked by (5). The implementation techniques of the system were discussed with respect to (4) in two approaches.…”
Section: Discussionmentioning
confidence: 99%
“…Several methods have been implemented to compensate wire delays by wire pipelining [3,4]. Carloni was the first pioneer who introduced a correct-by-construction method to solve the wire delay problem [5]. This method is known as latencyinsensitive-protocol (LIP).…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation