2023
DOI: 10.1109/tcsii.2022.3231361
|View full text |Cite
|
Sign up to set email alerts
|

A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding Radix-4 Booth Multiplier

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
0
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
1
1
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(1 citation statement)
references
References 16 publications
0
0
0
Order By: Relevance
“…Radix-4 Booth algorithm has been used to overcome some of the drawbacks of the original Booth algorithm, but added more hardware complexity for its decoder [2]. Pre-Encoded radix-4 Booth multiplier has been shown to be more effective in improving the speed and reducing the power consumption for exact multiplications [3,[10][11][12][13][14][15]. Approximation of the least significant bits of partial products produced by Booth algorithm can reduce the least significant m-bits to just one bit, thus saving on power consumption on the expense of less accuracy [4][5][6][7][8].…”
Section: Introductionmentioning
confidence: 99%
“…Radix-4 Booth algorithm has been used to overcome some of the drawbacks of the original Booth algorithm, but added more hardware complexity for its decoder [2]. Pre-Encoded radix-4 Booth multiplier has been shown to be more effective in improving the speed and reducing the power consumption for exact multiplications [3,[10][11][12][13][14][15]. Approximation of the least significant bits of partial products produced by Booth algorithm can reduce the least significant m-bits to just one bit, thus saving on power consumption on the expense of less accuracy [4][5][6][7][8].…”
Section: Introductionmentioning
confidence: 99%