2010 IEEE Asia Pacific Conference on Circuits and Systems 2010
DOI: 10.1109/apccas.2010.5774997
|View full text |Cite
|
Sign up to set email alerts
|

A low-latency GALS interface implementation

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2013
2013
2022
2022

Publication Types

Select...
2
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(1 citation statement)
references
References 8 publications
0
1
0
Order By: Relevance
“…The power intent diagram for 8051_RAM block is shown in Fig.10 with all power gating sequence control signals. The asynchronous wrappers for 8051_ALU and 8051_CTR along with local pausible clocking element was designed based on 4-phase handshaking communication protocol principles [27]. The STG, SG and corresponding equations for power gating sequence is generated using petrify.…”
Section: Implementation and Synthesis Flowmentioning
confidence: 99%
“…The power intent diagram for 8051_RAM block is shown in Fig.10 with all power gating sequence control signals. The asynchronous wrappers for 8051_ALU and 8051_CTR along with local pausible clocking element was designed based on 4-phase handshaking communication protocol principles [27]. The STG, SG and corresponding equations for power gating sequence is generated using petrify.…”
Section: Implementation and Synthesis Flowmentioning
confidence: 99%