2017 IEEE 24th Symposium on Computer Arithmetic (ARITH) 2017
DOI: 10.1109/arith.2017.38
|View full text |Cite
|
Sign up to set email alerts
|

A Hardware Accelerator for Computing an Exact Dot Product

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2018
2018
2023
2023

Publication Types

Select...
4
4
1

Relationship

0
9

Authors

Journals

citations
Cited by 17 publications
(7 citation statements)
references
References 7 publications
0
7
0
Order By: Relevance
“…Google used Chisel for the design of their Edge TPU [1], and two RISC-V implementations have been proposed -Rocket Chip and BOOM -showing that the initiative can be integrated in both industrial and academic worlds. Works like [8] showed that Chisel can be used to explore different implementations of a circuit, here designed for BLAS (Basic Linear Algebra Subroutines) dot product acceleration.…”
Section: Introductionmentioning
confidence: 99%
“…Google used Chisel for the design of their Edge TPU [1], and two RISC-V implementations have been proposed -Rocket Chip and BOOM -showing that the initiative can be integrated in both industrial and academic worlds. Works like [8] showed that Chisel can be used to explore different implementations of a circuit, here designed for BLAS (Basic Linear Algebra Subroutines) dot product acceleration.…”
Section: Introductionmentioning
confidence: 99%
“…• Accumulate the full precision products into a fixed-point accumulator wide enough to eliminate all rounding errors. Kulisch suggested using a sign-magnitude representation for the exact product accumulator [27], but recent literature [28], [20], [19], [25] motivates the choice of fixed-point two's complement representation for the wbit wide datapath before feeding the products into a carry-save compression tree. This is similar to the quire required by the posit standard [5] for summing products.…”
Section: Matrix Multiply-accumulate Operationsmentioning
confidence: 99%
“…This is a special function that falls within the category of fused operations. The key observation is that, although the final result of the dot product fits a given number of bits, the intermediate term may requires many more, in order to not loose accuracy [27]. First versions of the IEEE standard for floats (such as the 754 of 1985) did not specify the number of bits to use for fused operations.…”
Section: B Specific Dnn Functions To Implement In Hardwarementioning
confidence: 99%