2009
DOI: 10.1109/tvlsi.2008.2003236
|View full text |Cite
|
Sign up to set email alerts
|

A Framework for Correction of Multi-Bit Soft Errors in L2 Caches Based on Redundancy

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
14
0

Year Published

2010
2010
2024
2024

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 23 publications
(16 citation statements)
references
References 29 publications
0
14
0
Order By: Relevance
“…Zhang et al [9] investigate impacts of soft errors on processor caches, and introduce the cache vulnerability factor (CVF) to soft errors and proposed two early write back methods for reducing this vulnerability. Bhattacharya et al [21] consider multi-bit errors, and propose an adaptive scheme for correcting them errors relaying on the data replication that exists in the memory subsystem. Li et al [22] propose an effective adaptive error protection scheme for chip-multiprocessor busses that adapts to the amount of noise present in the environment.…”
Section: B Hardware Based Error Detection and Correctionmentioning
confidence: 99%
“…Zhang et al [9] investigate impacts of soft errors on processor caches, and introduce the cache vulnerability factor (CVF) to soft errors and proposed two early write back methods for reducing this vulnerability. Bhattacharya et al [21] consider multi-bit errors, and propose an adaptive scheme for correcting them errors relaying on the data replication that exists in the memory subsystem. Li et al [22] propose an effective adaptive error protection scheme for chip-multiprocessor busses that adapts to the amount of noise present in the environment.…”
Section: B Hardware Based Error Detection and Correctionmentioning
confidence: 99%
“…To prevent latency increasing, first level (L1) caches tend to employ parity check codes that allow bit error detection, but no correction. Bhattacharya et al investigate in detail multi-bit soft error rates in large L2 caches and propose a framework based on the amount of redundancy present in the memory hierarchy [11]. Despite the fact that most of the previous work has studied effectiveness in terms of performance, energy, and area overheads, it targets data bits reliability with the assumption that tag bits are intact.…”
Section: By Our Experiments With Embedded Benchmarks On An Intelmentioning
confidence: 99%
“…The rate of spatial multi-bit errors increases across technology generations as device feature sizes shrink. This is because due to the higher packing of the cells in the same active area, a single radiation strike can now affect multiple cells simultaneously, potentially leading to multi-bit errors [4]. Multi-bit errors can also arise over time.…”
Section: Introductionmentioning
confidence: 99%
“…For example, ZHANG et al [11] proposed a small fully-associative cache named replication cache to store the replicas to correct the data blocks affected by errors. BHATTACHARYA et al [4] proposed to exploit small data values, which use at most half of the memory word bits, to increase redundancy and improve the reliability of the L2 cache. The small data values can be duplicated in their upper half of the memory word bits, which increases the degree of redundancy in the L2 cache.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation