2020
DOI: 10.1109/tcad.2019.2937748
|View full text |Cite
|
Sign up to set email alerts
|

A Faithful Binary Circuit Model

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

1
21
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 11 publications
(22 citation statements)
references
References 19 publications
1
21
0
Order By: Relevance
“…Lemma 3 in [5], restated as Lemma 1 below, shows that strictly causal involution channels, characterized by strictly increasing, concave delay functions with ↑ (0) > 0 and ↓ (0) > 0, give raise 1 The original Involution Tool is accessible via https://github.com/oehlinscher/ InvolutionTool, our extended version is provided at https://github.com/oehlinscher/ CDMTool.…”
Section: Involution Delay Model Basicsmentioning
confidence: 99%
See 2 more Smart Citations
“…Lemma 3 in [5], restated as Lemma 1 below, shows that strictly causal involution channels, characterized by strictly increasing, concave delay functions with ↑ (0) > 0 and ↓ (0) > 0, give raise 1 The original Involution Tool is accessible via https://github.com/oehlinscher/ InvolutionTool, our extended version is provided at https://github.com/oehlinscher/ CDMTool.…”
Section: Involution Delay Model Basicsmentioning
confidence: 99%
“…For an "outermost" input port of a circuit, we can just require that the connected gate must have a threshold voltage matching the external input signal, such that ↑ = ↓ = 0 for the dangling ℎ component. As a consequence, all the results and all the machinery developed for the original IDM [5] could, in principle, be also applied to circuits modeled with CIDM channels.…”
Section: Proof Consider An Input Signal Consisting Of a Single Negative Pulse As Depicted Inmentioning
confidence: 99%
See 1 more Smart Citation
“…For this purpose dynamic timing simulations, which predict time and direction of a gate's output transitions based on time and direction of its input transitions, are mandatory. Although several approaches are currently available (see Section II), Függer et al [3] revealed, that solely the Involution Delay Model (IDM) is able to predict the behavior of a circuit solving the short pulse filtration problem. Recently Öhlinger et al [4] practically applied the IDM to basic circuits, however, primarily to evaluate the accuracy of their introduced simulation framework.…”
Section: Introductionmentioning
confidence: 99%
“…In this section we want to provide a short overview over existing delay prediction methods, whereat we will focus in greater detail on the basics of the Involution Delay Model (IDM). For more details the interested reader is referred to the original publication [3].…”
Section: Introductionmentioning
confidence: 99%