Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition (DATE), 2014 2014
DOI: 10.7873/date2014.273
|View full text |Cite
|
Sign up to set email alerts
|

A deep learning methodology to proliferate golden signoff timing

Abstract: Signoff timing analysis remains a critical element in the IC design flow. Multiple signoff corners, libraries, design methodologies, and implementation flows make timing closure very complex at advanced technology nodes. Design teams often wish to ensure that one tool's timing reports are neither optimistic nor pessimistic with respect to another tool's reports. The resulting "correlation" problem is highly complex because tools contain millions of lines of black-box and legacy code, licenses prevent any rever… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
6
2

Relationship

0
8

Authors

Journals

citations
Cited by 13 publications
(7 citation statements)
references
References 6 publications
0
7
0
Order By: Relevance
“…Another research [67] works on sign-off timing analysis and use linear regression to fit the Static Timing Analysis (STA) model, thus reduce the frequency that the incremental Static Timing Analysis (iSTA) tool need to be called. Han et al [52] propose SI for Free, a regression method to predict expensive signal integrity (SI) mode sign-off timing results by using cheap non-SI mode sign-off timing analysis. [68] propose Golden Timer eXtension (GTX), a framework to reduce mismatches between different sign-off timing analysis tools to obtain neither optimistic nor pessimistic results.…”
Section: Routing Information Predictionmentioning
confidence: 99%
“…Another research [67] works on sign-off timing analysis and use linear regression to fit the Static Timing Analysis (STA) model, thus reduce the frequency that the incremental Static Timing Analysis (iSTA) tool need to be called. Han et al [52] propose SI for Free, a regression method to predict expensive signal integrity (SI) mode sign-off timing results by using cheap non-SI mode sign-off timing analysis. [68] propose Golden Timer eXtension (GTX), a framework to reduce mismatches between different sign-off timing analysis tools to obtain neither optimistic nor pessimistic results.…”
Section: Routing Information Predictionmentioning
confidence: 99%
“…In order to handle a large number of linearly correlated parameters, they separate the features in two models, which are trained separately and combined using linear regression. Another application is predicting the outcome of golden signoff timing engines [7], where the authors propose a regression model to correct miscorrelations between two commercial signoff tools. For that, they extract multiple features concerning capacitance, resistance and delay of cells and wires.…”
Section: Related Workmentioning
confidence: 99%
“…The high flexibility provided by machine learning (ML) models allows their use to predict the outcome of physical design algorithms. They have been employed so far to help choose between different clock tree synthesis algorithms [11], to fix miscorrelations between different timing engines [7], and to identify detailed routing violations during the placement stage [2,17,19]. The benefits of ML models come from their ability to improve the quality of physical design algorithms by predicting information that would otherwise be too costly to evaluate during execution.…”
Section: Introductionmentioning
confidence: 99%
“…Recently, the learning-based method has been widely used in all kinds of fields [28][29][30], such as optical, image processing and also the Electronics Design Automation (EDA) field, especially timing analysis, and has shown great potential [31][32][33]. Das et al [31] build a model that still focuses the cell delay model by a learning-based method that comprehensively captures process, voltage, and temperature, along with input slew and output load, but it is not suited for path delay variation prediction directly.…”
Section: Introductionmentioning
confidence: 99%
“…It is robust across designs and signoff constraints. Han et al [33] apply a learning-based method to solve the correlation problem of different timing signoff tools. They develop a learning-based tool to correct the divergence of all kinds of delays at different tools.…”
Section: Introductionmentioning
confidence: 99%