Proceedings of the 6th ACM/SPEC International Conference on Performance Engineering 2015
DOI: 10.1145/2668930.2688044
|View full text |Cite
|
Sign up to set email alerts
|

A Comprehensive Analytical Performance Model of DRAM Caches

Abstract: Stacked DRAM promises to offer unprecedented capacity, and bandwidth to multi-core processors at moderately lower latency than off-chip DRAMs. A typical use of this abundant DRAM is as a large last level cache. Prior research works are divided on how to organize this cache and the proposed organizations fall into one of two categories: (i) as a Tags-In-DRAM organization with the cache organized as small blocks (typically 64B) and metadata (tags, valid, dirty, recency and coherence bits) stored in DRAM, and (ii… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 7 publications
(2 citation statements)
references
References 26 publications
0
2
0
Order By: Relevance
“…Most of the prior research work in DRAM cache organization do not provide detailed methodologies required to model a DRAM cache during the simulation. In terms of modeling of a DRAM cache, Gulur et al [21] presented an analytical performance model of DRAM cache for in-SRAM and in-DRAM tag storage organizations. Their model considers parameters such as DRAM Cache's and off-chip memory's timing values, cache block size, tag cache/predictor hit rate and workload characteristic, to estimate average miss penalty and bandwidth seen by the last level on chip SRAM cache (LLSC).…”
Section: Related Workmentioning
confidence: 99%
“…Most of the prior research work in DRAM cache organization do not provide detailed methodologies required to model a DRAM cache during the simulation. In terms of modeling of a DRAM cache, Gulur et al [21] presented an analytical performance model of DRAM cache for in-SRAM and in-DRAM tag storage organizations. Their model considers parameters such as DRAM Cache's and off-chip memory's timing values, cache block size, tag cache/predictor hit rate and workload characteristic, to estimate average miss penalty and bandwidth seen by the last level on chip SRAM cache (LLSC).…”
Section: Related Workmentioning
confidence: 99%
“…In addition to simulation methodologies, some work exists on using analytical models for DRAM caches. For example, Gulur et al [36] presented an analytical performance model of different DRAM organizations. However, their work is agnostic to the micro-architectural and timing constraints of main memory technologies cooperating with DRAM cache, and still leaves a gap for a full system DRAM cache simulation for detailed architectural analysis.…”
Section: Related Workmentioning
confidence: 99%