2018 IEEE International Solid - State Circuits Conference - (ISSCC) 2018
DOI: 10.1109/isscc.2018.8310304
|View full text |Cite
|
Sign up to set email alerts
|

A combined all-digital PLL-buck slack regulation system with autonomous CCM/DCM transition control and 82% average voltage-margin reduction in a 0.6-to-1.0V cortex-M0 processor

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2018
2018
2020
2020

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 10 publications
(3 citation statements)
references
References 4 publications
0
3
0
Order By: Relevance
“…Comparisons with other clocking stretching circuits [3], [4], [6] are shown in Table I. Some works provided bi-directional clocking to follow the supply droop [36]- [38], [42], [43]. Most of the current adaptive clocking circuits need one or more clock cycles for tuning, while ours has zero latency that it is able to stretch the clock cycle at the current cycle.…”
Section: B Measurement Of the Adaptive Clocking Circuitmentioning
confidence: 99%
See 1 more Smart Citation
“…Comparisons with other clocking stretching circuits [3], [4], [6] are shown in Table I. Some works provided bi-directional clocking to follow the supply droop [36]- [38], [42], [43]. Most of the current adaptive clocking circuits need one or more clock cycles for tuning, while ours has zero latency that it is able to stretch the clock cycle at the current cycle.…”
Section: B Measurement Of the Adaptive Clocking Circuitmentioning
confidence: 99%
“…Thus, they were able to provide a fast clocking for the supply droop mitigation [5], [6], [10]- [12], [39]- [41], by increasing clock cycle quickly to accommodate the worst case droop voltage. Besides these droop detection-based adaptive clock stretching circuits, there are adaptive frequency/voltage tracking circuits [36]- [38], which may either decrease or increase the frequency in response to the supply droop. Recently, unified voltage and frequency regulators were proposed to let the supply voltage recover from the droop besides tuning the frequency [42], [43].…”
Section: Introductionmentioning
confidence: 99%
“…As shown in Fig. 1, we have added the countermeasure hardware comprising (i) a machine-learning core capable of identifying CLKSCREW attack, titled a blacklist core (BL core) and (ii) timing error detection/prediction hardware such as tunable replica circuits (TRC) [14][15][16] and in-situ error detection and correction circuits (EDAC) [3,[11][12][13] for acquiring timing-error flags. The state-of-the-art EDAC techniques incur small silicon area overhead of <5% of a core area.…”
Section: Introductionmentioning
confidence: 99%