29th VLSI Test Symposium 2011
DOI: 10.1109/vts.2011.5783749
|View full text |Cite
|
Sign up to set email alerts
|

A built-in self-test scheme for the post-bond test of TSVs in 3D ICs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
13
0

Year Published

2012
2012
2018
2018

Publication Types

Select...
6
3

Relationship

0
9

Authors

Journals

citations
Cited by 66 publications
(13 citation statements)
references
References 16 publications
0
13
0
Order By: Relevance
“…When a resistive open defect of R f occurs at b, I Dt flows that is expressed by (10). Thus, I Dt specified by P2 in Fig.…”
Section: Comparison To [18]mentioning
confidence: 99%
“…When a resistive open defect of R f occurs at b, I Dt flows that is expressed by (10). Thus, I Dt specified by P2 in Fig.…”
Section: Comparison To [18]mentioning
confidence: 99%
“…From this perspective, TSV BIST techniques (e.g., [23]) are insufficient for in-field test and diagnosis because they target on faults occurred in individual TSV structure (and often consider TSV open/short only) instead of delay faults of circuit paths with TSVs. For example, as discussed in Section 2.2, using a fault-free TSV to replace a faulty one does not necessarily lead to a valid repair solution because of the unknown signal timing slack changes with circuit aging.…”
Section: Online Test and Diagnosismentioning
confidence: 99%
“…Since there are many TSVs inside a 3D IC, it takes a long test time when the 3D IC is tested by the test method. Thus, various kinds of Design for Testability(DFT) methods and built-in test ones have been proposed so as to shorten the test time [4,5,6,7,8]. [2].…”
Section: Introductionmentioning
confidence: 99%