1990
DOI: 10.1109/4.50320
|View full text |Cite
|
Sign up to set email alerts
|

A 300-MHz CMOS voltage-controlled ring oscillator

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
5
0

Year Published

1997
1997
2024
2024

Publication Types

Select...
7
1
1

Relationship

0
9

Authors

Journals

citations
Cited by 45 publications
(6 citation statements)
references
References 3 publications
0
5
0
Order By: Relevance
“…Hence the optimum gear-shifting minimizing at the time instance can be written as (13) Now, consider . Substituting (6) into (11) and the orthogonalities in (9) yield (14) In practice, it is difficult to evaluate the optimum gain sequence in (13), since in most real applications we do not know the input jitter variance and the reference input phase . To obtain practically useful expression of , we consider the following ZPS operation.…”
Section: Table I Algorithm For Computing An Optimum Gear-shifting Seqmentioning
confidence: 99%
See 1 more Smart Citation
“…Hence the optimum gear-shifting minimizing at the time instance can be written as (13) Now, consider . Substituting (6) into (11) and the orthogonalities in (9) yield (14) In practice, it is difficult to evaluate the optimum gain sequence in (13), since in most real applications we do not know the input jitter variance and the reference input phase . To obtain practically useful expression of , we consider the following ZPS operation.…”
Section: Table I Algorithm For Computing An Optimum Gear-shifting Seqmentioning
confidence: 99%
“…Then, we have Since minimizing can be obtained by is independent of , and is . and are Now, as summarized in Table I, the calculation of at 3, 4, can be performed using (4), (10), (13), and (14), under the initial conditions. From (10) and 14, it is not difficult to see that under the ZPS assumption, both and are multiples of for all .…”
Section: Table I Algorithm For Computing An Optimum Gear-shifting Seqmentioning
confidence: 99%
“…Different types of delay cells have been reported in the literature for oscillator design including multiple-feedback loops, dual-delay paths, and single ended delays [11][12][13][14][15][16][17][18][19][20]. Delay cells have been implemented by different approaches like inverter stages, latches, cross-coupled cells and so forth.…”
Section: Isrn Electronicsmentioning
confidence: 99%
“…Earlier designs have also incorporated phase interpolation for speed-up in ring buffer oscillators. One of these is a VCO described in [26], where the phase interpolation ratio is variable, and is used to control frequency while allowing speed-up. However, that design was very asymmetric and 49 unsuitable for uniform phase applications such as ours.…”
Section: Vcosmentioning
confidence: 99%