2008
DOI: 10.1093/ietele/e91-c.4.543
|View full text |Cite
|
Sign up to set email alerts
|

A 10T Non-precharge Two-Port SRAM Reducing Readout Power for Video Processing

Abstract: We propose a low-power non-precharge-type two-port SRAM for video processing that exploits statistical similarity in images. To minimize the charge/discharge power on a read bitline, the proposed memory cell (MC) has ten transistors (10T), comprised of the conventional 6T MC, a readout inverter and a transmission gate for a read port. In addition, to incorporate three wordlines, we propose a shared wordline structure, with which the vertical cell size of the 10T MC is fitted to the same size as the conventiona… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2011
2011
2019
2019

Publication Types

Select...
3

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(2 citation statements)
references
References 13 publications
0
2
0
Order By: Relevance
“…As there is high amount of temporal locality between subsequent video frames, usually the differential between the master frame and adjecent frames is stored on disk. The master frame is stored in a SRAM system that predominently only reads this frame [1,2].SRAM memory system for image and video processing in application specific integrated circuits (ASICs) consume upto 81% of the power as standby/leakage power [4].…”
Section: Sram (Static Random Access Memoriesmentioning
confidence: 99%
“…As there is high amount of temporal locality between subsequent video frames, usually the differential between the master frame and adjecent frames is stored on disk. The master frame is stored in a SRAM system that predominently only reads this frame [1,2].SRAM memory system for image and video processing in application specific integrated circuits (ASICs) consume upto 81% of the power as standby/leakage power [4].…”
Section: Sram (Static Random Access Memoriesmentioning
confidence: 99%
“…Each macro is 64 kb (128 b × 512 b). The 8T and 10T-S SRAM macros have 16 memory cell blocks (64 b × 64 b), and the divided factor between local RBL and global RBL is eight, which has been optimized by using Elmore delay model 8) . The 10T-D SRAM macro has four memory cell blocks (64 b × 256 b) and the divided factor between local RBL and global RBL is two.…”
Section: Cell and Macro Layoutsmentioning
confidence: 99%