2001
DOI: 10.1109/4.962282
|View full text |Cite
|
Sign up to set email alerts
|

A 1.8-GHz instruction window buffer for an out-of-order microprocessor core

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
7
0
1

Year Published

2005
2005
2024
2024

Publication Types

Select...
3
3

Relationship

0
6

Authors

Journals

citations
Cited by 10 publications
(8 citation statements)
references
References 12 publications
0
7
0
1
Order By: Relevance
“…The heterogeneous array of small processing units is connected by a network for operand and instruction communication. In contrast to classic centralized schedulers [53], forwarding of result tags is replaced with dedicated operand transport instructions. Each FU forms a separate cluster with a private register file.…”
Section: Extending Tomasulo_s Scheme For Clustered Smt Architectures mentioning
confidence: 99%
“…The heterogeneous array of small processing units is connected by a network for operand and instruction communication. In contrast to classic centralized schedulers [53], forwarding of result tags is replaced with dedicated operand transport instructions. Each FU forms a separate cluster with a private register file.…”
Section: Extending Tomasulo_s Scheme For Clustered Smt Architectures mentioning
confidence: 99%
“…Although there has been some research efforts to remove the CAM logic with different schemes like using a FIFO queue implementation [7] or dependence matrices [24], contemporary processors still use a CAM based design to avoid any performance degradation [20] [25].…”
Section: Introductionmentioning
confidence: 99%
“…Microarchitectural techniques for instruction-level parallelism can be used to achieve increased concurrency in instruction processing [1][2][3]. Out-of-order execution and speculative execution are two powerful techniques that are exploited in modern high-performance processors to increase the amount of concurrency [4][5][6][7]. If the operand data is ready and the required execution resources are free, more concurrency in the pipeline and more performance can be achieved by allowing instructions to be executed out of order.…”
Section: Introductionmentioning
confidence: 99%