Optical Microlithography XXI 2008
DOI: 10.1117/12.772953
|View full text |Cite
|
Sign up to set email alerts
|

22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
66
0

Year Published

2009
2009
2023
2023

Publication Types

Select...
5
2
2

Relationship

0
9

Authors

Journals

citations
Cited by 104 publications
(66 citation statements)
references
References 1 publication
0
66
0
Order By: Relevance
“…Excellent examples of pitch division techniques that are self-aligned double patterning (SADP) and dual-tone development (DTD). Both approaches have successfully demonstrated pitch division [2][3][4]. SADP demonstrated sub-32 nm half pitch line patterns with excellent LER/CD control.…”
Section: Motivationmentioning
confidence: 99%
See 1 more Smart Citation
“…Excellent examples of pitch division techniques that are self-aligned double patterning (SADP) and dual-tone development (DTD). Both approaches have successfully demonstrated pitch division [2][3][4]. SADP demonstrated sub-32 nm half pitch line patterns with excellent LER/CD control.…”
Section: Motivationmentioning
confidence: 99%
“…SADP demonstrated sub-32 nm half pitch line patterns with excellent LER/CD control. However, this approach requires many extra processing steps outside the exposure tool, which increases processing cost [3].…”
Section: Motivationmentioning
confidence: 99%
“…While the freezing process typically causes CD change, tight control is placed on different CD populations. Iterative spacer (Figure 2d) is a succession of the selfaligned sidewall spacer technology 5 . After the formation of the first spacer pattern, another layer of spacer material is deposited on top and forms a new spacer pattern with a total four times pitch division.…”
Section: Higher Order Pitch Division Lithographymentioning
confidence: 99%
“…Immersion lithography, along with the development of multiple patterning techniques has allowed device manufacturers to realize half pitch designs as small as 15nm. Self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) processes were instrumental in accelerating the fabrication of new generations of NAND Flash memory, and the same patterning techniques are now being adopted for use in DRAM and logic devices [1,2].…”
Section: Introductionmentioning
confidence: 99%