2015 International Conference on Parallel Architecture and Compilation (PACT) 2015
DOI: 10.1109/pact.2015.12
|View full text |Cite
|
Sign up to set email alerts
|

Tardis: Time Traveling Coherence Algorithm for Distributed Shared Memory

Abstract: A new memory coherence protocol, Tardis, is proposed. Tardis uses timestamp counters representing logical time as well as physical time to order memory operations and enforce sequential consistency in any type of shared memory system. Tardis is unique in that as compared to the widely-adopted directory coherence protocol, and its variants, it completely avoids multicasting and only requires O(log N ) storage per cache block for an N -core system rather than O(N ) sharer information. Tardis is simpler and easie… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
14
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
6
1
1

Relationship

3
5

Authors

Journals

citations
Cited by 20 publications
(14 citation statements)
references
References 32 publications
(31 reference statements)
0
14
0
Order By: Relevance
“…Our proof instead uses a combination of the timestamp and physical time orders [38]. A transaction's logical commit time is its commit timestamp; its physical commit time is the physical time between a transaction's validation phase and write phase.…”
Section: Proof Ideamentioning
confidence: 99%
“…Our proof instead uses a combination of the timestamp and physical time orders [38]. A transaction's logical commit time is its commit timestamp; its physical commit time is the physical time between a transaction's validation phase and write phase.…”
Section: Proof Ideamentioning
confidence: 99%
“…The original Tardis protocol [10] implements sequential consistency, which requires that "the result of any execution is the same as if the operations of all processors (cores) were executed in some sequential order, and the operations of each individual processor (core) appear in this sequence in the order specified by its program" [19].…”
Section: Sequential Consistency (Sc)mentioning
confidence: 99%
“…Directory: Full-map MESI directory coherence protocol. Base Tardis: Baseline Tardis [10] where lts self increments by 1 for every 100 memory accesses.…”
Section: Baselinesmentioning
confidence: 99%
See 1 more Smart Citation
“…The DBMS dynamically computes a transaction's commit timestamp, which must overlap with the leases of all tuples accessed by the transaction. Prior work has shown that logical leases are effective in improving performance and concurrency for both hardware cache coherence [52] and multicore concurrency control protocols [53]. To the best of our knowledge, this paper is the first to apply logical leases to a distributed system, and the first to seamlessly combine concurrency control and caching in a shared-nothing database.…”
Section: Introductionmentioning
confidence: 99%