2013
DOI: 10.1109/tvlsi.2012.2211904
|View full text |Cite
|
Sign up to set email alerts
|

SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects

Abstract: Abstract-A 64-bit, 8 × 8 mesh network-on-chip (NoC) is presented that uses both new architectural and circuit design techniques to improve on-chip network energy-efficiency, latency, and throughput. First, we propose token flow control, which enables bypassing of flit buffering in routers, thereby reducing buffer size and their power consumption. We also incorporate reduced-swing signaling in on-chip links and crossbars to minimize datapath interconnect energy. The 64-node NoC is experimentally validated with … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
12
0

Year Published

2014
2014
2021
2021

Publication Types

Select...
9
1

Relationship

0
10

Authors

Journals

citations
Cited by 28 publications
(12 citation statements)
references
References 26 publications
(69 reference statements)
0
12
0
Order By: Relevance
“…As a baseline, we also compare our proposed design with a conventional hop-by-hop routing approach. In particular, we assume a 1-cycle router with a single-cycle link traversal as this is the state-of-the-art in conventional hop-by-hop routing [19]. We refer to this approach as "Baseline."…”
Section: Performance Comparisonsmentioning
confidence: 99%
“…As a baseline, we also compare our proposed design with a conventional hop-by-hop routing approach. In particular, we assume a 1-cycle router with a single-cycle link traversal as this is the state-of-the-art in conventional hop-by-hop routing [19]. We refer to this approach as "Baseline."…”
Section: Performance Comparisonsmentioning
confidence: 99%
“…An effective way to reduce power consumption is reducing the number of pipeline stages that packets traverse to reach their destination. By reducing the pipeline stages, dynamic power is reduced as well reducing the workload latency [56,57].…”
Section: Reducing Power Consumption In the Noc Router Architecturementioning
confidence: 99%
“…The following authors propose effective techniques for bypassing the buffering stage in one stage. Postman et al [80] proposes a Low-Power Network-on-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects (SWIFT) NoC, while Shenbagavelli [81] introduces virtual switching. The SWIFT NoC allows flits to pass through the buffering stage in a lesser number of cycles (one); ignoring the use of read/write power.…”
Section: Pipeline Stagesmentioning
confidence: 99%