2013
DOI: 10.1145/2555289.2555294
|View full text |Cite
|
Sign up to set email alerts
|

Selecting representative benchmark inputs for exploring microprocessor design spaces

Abstract: The design process of a microprocessor requires representative workloads to steer the search process toward an optimum design point for the target application domain. However, considering a broad set of workloads to cover the large space of potential workloads is infeasible given how time-consuming design space exploration typically is. Hence, it is crucial to select a small yet representative set of workloads, which leads to a shorter design cycle while yielding a (near) optimal design.Prior work has mostly l… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2019
2019
2019
2019

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(1 citation statement)
references
References 29 publications
0
1
0
Order By: Relevance
“…Another approach for automatically generating benchmarks is discussed in Deshpande et al [8], however, their focus is not in evaluating the vectorization capabilities of compilers. Skadron et al [29] highlight a number of practical issues in the current generation of benchmarking infrastructures, and Breughe and Eeckhout [4] propose a method for understanding the impact that different input parameters have on the overall performance. Gong et al [15] study the variations of compiler performance to different loop mutations, and in doing so they demonstrate the instability of compilers to consistently provide optimal results when the implementation is slightly different.…”
Section: Related Workmentioning
confidence: 99%
“…Another approach for automatically generating benchmarks is discussed in Deshpande et al [8], however, their focus is not in evaluating the vectorization capabilities of compilers. Skadron et al [29] highlight a number of practical issues in the current generation of benchmarking infrastructures, and Breughe and Eeckhout [4] propose a method for understanding the impact that different input parameters have on the overall performance. Gong et al [15] study the variations of compiler performance to different loop mutations, and in doing so they demonstrate the instability of compilers to consistently provide optimal results when the implementation is slightly different.…”
Section: Related Workmentioning
confidence: 99%