2007
DOI: 10.1109/tcad.2007.8361580
|View full text |Cite
|
Sign up to set email alerts
|

Routability-driven placement and white space allocation

Abstract: In this paper, we present a congestion-driven placement flow. First, we consider in the global placement stage the routing demand to replace cells in order to avoid congested regions. Then we allocate appropriate amounts of white space into different regions of the chip according to the congestion map. Finally, a detailed placer is applied to legalize placements while preserving the distributions of white space. Experimental results show that our placement flow can achieve the best routabilities with shorter r… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
11
0
3

Year Published

2007
2007
2023
2023

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 18 publications
(14 citation statements)
references
References 10 publications
0
11
0
3
Order By: Relevance
“…Improved mincut bisection leads to better placement and less congestion which enhances the quality of the layout in post layout stage. Congestion driven white space allocation algorithms have been proposed [2] [3], which has been applied after placement stage and which results in better routability and reduced wirelength without worsen the original placement.…”
Section: Fig 1: Asic Flowmentioning
confidence: 99%
“…Improved mincut bisection leads to better placement and less congestion which enhances the quality of the layout in post layout stage. Congestion driven white space allocation algorithms have been proposed [2] [3], which has been applied after placement stage and which results in better routability and reduced wirelength without worsen the original placement.…”
Section: Fig 1: Asic Flowmentioning
confidence: 99%
“…Minimizar o comprimento dos fios pode gerar áreas muito congestionadas, dificultando a etapa de roteamento e afetando sua qualidade. Pensando nisso, os routability-driven placement(LI et al, 2007; JOHANNES, 2007b;YANG;CHOI;SARRAFZADEH, 2003) reservam espaços vazios em suas soluções para a passagem de fios. Por muito tempo, considerou-se que minimizar o comprimento dos fios indiretamente melhorava o circuito com relação a tempos de propagação.…”
unclassified
“…In [68], congestion maps are built after global placement, and annealing moves are applied to minimize a congestion metric. Another technique known as WSA [69] is applied after detailed placement. WSA uses congestion maps to identify areas with high congestion and injects whitespace into these areas in a top-down fashion.…”
Section: Previous Workmentioning
confidence: 99%
“…After all the whitespace allocation and legalization, window based detail placement techniques are applied to reduce wirelength. Cell bloating [70] and cell spreading [69] are used to tie whitespace to specific cells. In [71], single- All previous work tried to achieve routability by including some congestion measure and use that information as a guide.…”
Section: Previous Workmentioning
confidence: 99%