2006
DOI: 10.1155/asp/2006/46472
|View full text |Cite
|
Sign up to set email alerts
|

Rapid VLIW Processor Customization for Signal Processing Applications Using Combinational Hardware Functions

Abstract: This paper presents an architecture that combines VLIW (very long instruction word) processing with the capability to introduce application-specific customized instructions and highly parallel combinational hardware functions for the acceleration of signal processing applications. To support this architecture, a compilation and design automation flow is described for algorithms written in C. The key contributions of this paper are as follows: (1) a 4-way VLIW processor implemented in an FPGA, (2) large speedup… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
28
0

Year Published

2006
2006
2023
2023

Publication Types

Select...
5
2
1

Relationship

1
7

Authors

Journals

citations
Cited by 31 publications
(28 citation statements)
references
References 35 publications
0
28
0
Order By: Relevance
“…We added the Sobel (sob) and Laplace (lap) edge detection algorithms to the benchmark suite. Using the SuperCISC compilation flow [9], computational kernels were extracted for these applications and converted into SDFGs, which we used as our benchmark circuits. These SDFGs were then mapped to the fabric model using the IP program, constraint program, and greedy heuristic as described in Section 4.…”
Section: Resultsmentioning
confidence: 99%
See 2 more Smart Citations
“…We added the Sobel (sob) and Laplace (lap) edge detection algorithms to the benchmark suite. Using the SuperCISC compilation flow [9], computational kernels were extracted for these applications and converted into SDFGs, which we used as our benchmark circuits. These SDFGs were then mapped to the fabric model using the IP program, constraint program, and greedy heuristic as described in Section 4.…”
Section: Resultsmentioning
confidence: 99%
“…loops) that require large portions of the application runtime, called kernels, while assigning the control-intensive portion of the code to a core processor. These kernels are converted into entirely combinational hardware functions generated automatically from the C using a design automation flow [9]. Using hardware predication, a Control Data Flow Graph (CDFG) can be converted into a Super Data Flow Graph (SDFG) [9].…”
Section: System Overviewmentioning
confidence: 99%
See 1 more Smart Citation
“…Our group has developed the SuperCISC reconfigurable hardwa r e fa br ic t o h a ve l owenergy consumption properties compared to existing reconfigurable devices such as FPGAs Jones et al, 2008;Mehta et al, , 2007Mehta et al, , 2008. To execute an application on the SuperCISC fabric, the software kernels are converted into entirely combinational hardware functions represented by DFGs, generated automatically from C using a design automation flow (Jones et al, 2005Hoare et al, 2006;. Stripe-based hardware fabrics are designed to easily map DFGs from the application into the device.…”
Section: Background and Literature Reviewmentioning
confidence: 99%
“…The SuperCISC processor was developed with a 4-way very long instruction word (VLIW) core with a shared register file [8]. The idea is to accelerate the high incidence code segments (e.g.…”
Section: Related Workmentioning
confidence: 99%