2010 11th International Symposium on Quality Electronic Design (ISQED) 2010
DOI: 10.1109/isqed.2010.5450509
|View full text |Cite
|
Sign up to set email alerts
|

Multi-corner, energy-delay optimized, NBTI-aware flip-flop design

Abstract: With the CMOS transistors being scaled to sub 45nm and lower, Negative Bias Temperature Instability (NBTI) has become a major concern due to its impact on PMOS transistor aging process and the corresponding reduction in the long-term reliability of CMOS circuits. This paper investigates the effect of NBTI phenomenon on the setup and hold times of flip-flops. First, it is shown that NBTI tightens the setup and hold timing constraints imposed on the flip-flops in the design. Second, an efficient algorithm is int… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2012
2012
2020
2020

Publication Types

Select...
4
1
1

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(4 citation statements)
references
References 14 publications
0
4
0
Order By: Relevance
“…Since the optimized flip-flop will replace the corresponding flip-flop in the design, the delay degradation is compared to the fresh delay of the original flip-flop in order to give a better understanding of how close the aged delay of the optimized flip-flop is to the fresh delay of the original design. Basically, scenario 1 is similar to the methods proposed in many flip-flop optimization methods such as [1,13] in the sense that they consider a multiplication of energy and delay (e.g., the PDP or the Energy Delay Product (EDP)) as the optimization target. Scenario 1 is able to effectively reduce the PDP by increasing the delay and reducing the leakage, but this may result in an unacceptable timing for S-BTI corners.…”
Section: 7% 53%mentioning
confidence: 99%
See 2 more Smart Citations
“…Since the optimized flip-flop will replace the corresponding flip-flop in the design, the delay degradation is compared to the fresh delay of the original flip-flop in order to give a better understanding of how close the aged delay of the optimized flip-flop is to the fresh delay of the original design. Basically, scenario 1 is similar to the methods proposed in many flip-flop optimization methods such as [1,13] in the sense that they consider a multiplication of energy and delay (e.g., the PDP or the Energy Delay Product (EDP)) as the optimization target. Scenario 1 is able to effectively reduce the PDP by increasing the delay and reducing the leakage, but this may result in an unacceptable timing for S-BTI corners.…”
Section: 7% 53%mentioning
confidence: 99%
“…Therefore, the total power in of flip-flops under S-BTI is determined by the leakage power. Even though scenario 2's design is much better for flip-flops which are only under the aging impact compared to the original and the state-of-the-art [1] flipflop designs, the impact of 10% voltage-drop is significant on the delay, i.e. 49% delay degradation.…”
Section: 7% 53%mentioning
confidence: 99%
See 1 more Smart Citation
“…In this Section the Flip Flop VOS characterization component of VOSsim is used to explore the flip flop's operation and behavior when the voltage supply is decreased as well as when timing violations occur. The flip flop setup and hold times depend on the supply voltage, however the setup time is more sensitive to it and the hold time at nominal voltage is reasonably pessimistic [93,95].…”
Section: Evaluation Of Flip Flops Under Vosmentioning
confidence: 99%