2014 IEEE 32nd International Conference on Computer Design (ICCD) 2014
DOI: 10.1109/iccd.2014.6974664
|View full text |Cite
|
Sign up to set email alerts
|

iRMW: A low-cost technique to reduce NBTI-dependent parametric failures in L1 data caches

Abstract: Abstract-Negative bias temperature instability (NBTI) is a major cause of concern for chip designers because of its inherent ability to drastically reduce silicon reliability over the lifetime of the processor. Coupled with statistical variations of process parameters, it can potentially render systems dysfunctional in certain scenarios. Data caches suffer the most from such phenomenon because of the unbalanced duty cycle ratio of SRAM cells and maximum intrinsic susceptibility to process variations. In this p… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
4
0

Year Published

2016
2016
2022
2022

Publication Types

Select...
3
2

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(4 citation statements)
references
References 24 publications
0
4
0
Order By: Relevance
“…This signal is only activated in those states referring to a possibly compressible register (i.e., deltas C 0 -C 15 , deltas C 16 -C 31 , and deltas C 32 -C 47 ). The intermediate buffers are implemented with 1T1C eDRAM cells, which are NBTI-free by design as they do not include PMOS transistors [14]. Depending on the current state, the first input of a comparator comes either from the above subtractor or from the buffers storing deltas.…”
Section: Compression Unitmentioning
confidence: 99%
“…This signal is only activated in those states referring to a possibly compressible register (i.e., deltas C 0 -C 15 , deltas C 16 -C 31 , and deltas C 32 -C 47 ). The intermediate buffers are implemented with 1T1C eDRAM cells, which are NBTI-free by design as they do not include PMOS transistors [14]. Depending on the current state, the first input of a comparator comes either from the above subtractor or from the buffers storing deltas.…”
Section: Compression Unitmentioning
confidence: 99%
“…Cache degradation has been mainly attacked in the past from the perspective of BTI, by balancing the amount of time that logic '0' and '1' values are stored in the cells with the aim to provide a BTI-optimal duty cycle distribution [3], [4], [5], [8], by including redundant cell regions into the cache design [6], and by lowering the supply voltage of idle memory cells [7].…”
Section: Related Workmentioning
confidence: 99%
“…Ganapathy et al [5] equalize the duty cycle ratio in readmodify-write cache schemes. Their approach invert the stored contents on writebacks after read operations, whereas regular write accesses do not complement the original stored data.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation