2020
DOI: 10.48550/arxiv.2007.04292
|View full text |Cite
Preprint
|
Sign up to set email alerts
|

HALCONE : A Hardware-Level Timestamp-based Cache Coherence Scheme for Multi-GPU systems

Abstract: While multi-GPU (MGPU) systems are extremely popular for compute-intensive workloads, several inefficiencies in the memory hierarchy and data movement result in a waste of GPU resources and difficulties in programming MGPU systems. First, due to the lack of hardware-level coherence, the MGPU programming model requires the programmer to replicate and repeatedly transfer data between the GPUsâ Ȃ Ź memory. This leads to inefficient use of precious GPU memory. Second, to maintain coherency across an MGPU system, t… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2020
2020
2020
2020

Publication Types

Select...
1

Relationship

1
0

Authors

Journals

citations
Cited by 1 publication
(1 citation statement)
references
References 25 publications
0
1
0
Order By: Relevance
“…In the MGPU-TSM system, different CUs within and across GPUs can access the same memory location. Hence, we need a low-overhead scalable cache coherency and memory consistency model to maintain accuracy such as HAL-CONE [7]. Traditional snooping-based or directory-based coherency protocols, such as MESI and MOESI, can lead to large inter-GPU and intra-GPU communication latencies [12].…”
Section: Data Sharing Within and Across Gpusmentioning
confidence: 99%
“…In the MGPU-TSM system, different CUs within and across GPUs can access the same memory location. Hence, we need a low-overhead scalable cache coherency and memory consistency model to maintain accuracy such as HAL-CONE [7]. Traditional snooping-based or directory-based coherency protocols, such as MESI and MOESI, can lead to large inter-GPU and intra-GPU communication latencies [12].…”
Section: Data Sharing Within and Across Gpusmentioning
confidence: 99%