2009
DOI: 10.1155/2009/259837
|View full text |Cite
|
Sign up to set email alerts
|

FPGA Interconnect Topologies Exploration

Abstract: This paper presents an improved interconnect network for Tree-based FPGA architecture that unifies two unidirectional programmable networks. New tools are developed to place and route the largest benchmark circuits, where different optimization techniques are used to get an optimized architecture. The effect of variation in LUT and cluster size on the area, performance, and power of the Tree-based architecture is analyzed. Experimental results show that an architecture with LUT size 4 and arity size 4 is the m… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
59
0

Year Published

2013
2013
2021
2021

Publication Types

Select...
6
1

Relationship

1
6

Authors

Journals

citations
Cited by 54 publications
(59 citation statements)
references
References 17 publications
0
59
0
Order By: Relevance
“…The 3D Tree-based FPGA evaluation module includes a top-down recursive partitioning tool. The routing algorithm implemented is "Pathfinder" [12], which is an iterative, negotiation-based approach. The physical design experiments are performed based on the layout generated using ST Micro's 130nm technology node.…”
Section: Exploration Methodology For 3d Tree-based Fpgamentioning
confidence: 99%
See 3 more Smart Citations
“…The 3D Tree-based FPGA evaluation module includes a top-down recursive partitioning tool. The routing algorithm implemented is "Pathfinder" [12], which is an iterative, negotiation-based approach. The physical design experiments are performed based on the layout generated using ST Micro's 130nm technology node.…”
Section: Exploration Methodology For 3d Tree-based Fpgamentioning
confidence: 99%
“…A new re-programmable Tree-based Multilevel FPGA architecture is proposed in [11]. The main motivation for the Tree-based FPGA architecture is to achieve the best performance by balancing interconnect and logic block utilization, where logic blocks and routing resources are sparsely partitioned into a multilevel clustered structure [12]. In a Tree-based FPGA architecture, the LBs (Logic Blocks) are grouped into clusters located at different levels of the Tree.…”
Section: Tree-based Multilevel Fpga Interconnect Organizationmentioning
confidence: 99%
See 2 more Smart Citations
“…Since FPGA is an interconnect dominated device, it is essential to minimize the TSV count because the TSVs consume more silicon area than horizontal interconnects. The TSV count optimization is performed using Rent's parameter [6] p defined for a Tree-based architecture shown in equation 1. The Tree level is represented as and k is the cluster arity, c is the number of in/out pins of an LB and IO is the number of in/out pins of a cluster located at level .…”
Section: Tsv Count Optimizationmentioning
confidence: 99%