2016 5th International Conference on Electronic Devices, Systems and Applications (ICEDSA) 2016
DOI: 10.1109/icedsa.2016.7818557
|View full text |Cite
|
Sign up to set email alerts
|

FPGA implementation of complex-valued QR decomposition

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2021
2021
2021
2021

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(1 citation statement)
references
References 13 publications
0
1
0
Order By: Relevance
“…As mentioned in the previous study [1], QR Algorithm [3] is chosen to work on asymmetric matrices. As a difference, the QR Decomposition structure in QR Algorithm is implemented using the Givens Rotation Principle [4] instead of Gram Schmidt Orthogonalization [5]. In both studies, the design is made using only basic mathematical modules and square root modules.…”
Section: Introductionmentioning
confidence: 99%
“…As mentioned in the previous study [1], QR Algorithm [3] is chosen to work on asymmetric matrices. As a difference, the QR Decomposition structure in QR Algorithm is implemented using the Givens Rotation Principle [4] instead of Gram Schmidt Orthogonalization [5]. In both studies, the design is made using only basic mathematical modules and square root modules.…”
Section: Introductionmentioning
confidence: 99%