Proceedings of 19th International Workshop on Vertex Detectors — PoS(VERTEX 2010) 2011
DOI: 10.22323/1.113.0022
|View full text |Cite
|
Sign up to set email alerts
|

Fabrication of 3D Silicon Detectors

Abstract: Silicon sensors with a three-dimensional (3-D) architecture, in which the n and p electrodes penetrate through the entire substrate, have many advantages over planar silicon sensors including radiation hardness, fast time response, active edge and dual readout capabilities. The fabrication of 3D sensors is however rather complex. In recent years, there have been worldwide activities on 3D fabrication. SINTEF in collaboration with Stanford Nanofabrication Facility have successfully fabricated the original (sing… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
10
0

Year Published

2011
2011
2020
2020

Publication Types

Select...
4
2

Relationship

3
3

Authors

Journals

citations
Cited by 7 publications
(10 citation statements)
references
References 19 publications
0
10
0
Order By: Relevance
“…Thus, alternative solutions are needed. SNF/SINTEF [39] and FBK [30] deposit a temporary metal layer which allows I-V tests to be performed in each tile. This aluminium layer is deposited at the end of the fabrication process.…”
Section: Design Specifications and Common Wafer Layoutmentioning
confidence: 99%
“…Thus, alternative solutions are needed. SNF/SINTEF [39] and FBK [30] deposit a temporary metal layer which allows I-V tests to be performed in each tile. This aluminium layer is deposited at the end of the fabrication process.…”
Section: Design Specifications and Common Wafer Layoutmentioning
confidence: 99%
“…They pointed to two origins of the high stress: Different oxide thickness between front and backsides of the wafers, leading to a bow around −130 μm [ 31 ]. Silicon nitride was deposited to avoid this asymmetry, and bow was reduced to +20 μm [ 33 ]. In both cases, wafer thickness was not directly specified, but if it was measured on the wafer mentioned in those papers (process wafer of 250 μm bonded on a 350 μm support wafer) these bow values imply really a large amount of stress, insuperable on thinner wafer, or after grinding of the support wafer; Polysilicon filling of the electrodes also induced a large stress, but this was mitigated by careful handling and planning [ 33 ].…”
Section: Overview Of Fabrication Technologies For 3d Detectorsmentioning
confidence: 99%
“…This contains the electric field and also allows the detector to be active all the way to the edge of the device. Full 3D detectors have been fabricated at both Sintef [11,12] and Stanford [7]. Sensors that are compatible with the ATLAS FE-I3 [13] front-end pixel amplifier chip have been fabricated at Sintef and successfully made into a module and tested at CERN.…”
Section: D Detectorsmentioning
confidence: 99%