Proceedings of the 48th International Symposium on Microarchitecture 2015
DOI: 10.1145/2830772.2830774
|View full text |Cite
|
Sign up to set email alerts
|

Exploiting commutativity to reduce the cost of updates to shared data in cache-coherent systems

Abstract: We present Coup, a technique to lower the cost of updates to shared data in cache-coherent systems. Coup exploits the insight that many update operations, such as additions and bitwise logical operations, are commutative: they produce the same final result regardless of the order they are performed in. Coup allows multiple private caches to simultaneously hold update-only permission to the same cache line. Caches with updateonly permission can locally buffer and coalesce updates to the line, but cannot satisfy… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
24
0

Year Published

2016
2016
2021
2021

Publication Types

Select...
4
3

Relationship

1
6

Authors

Journals

citations
Cited by 28 publications
(24 citation statements)
references
References 64 publications
(54 reference statements)
0
24
0
Order By: Relevance
“…While we have not verified COMMTM's protocol extensions, they are similar to Coup's, which has reasonable verification complexity (by merging S and U, Coup requires no extra stable states and only 1-5 transient states [54]). …”
Section: Putting It All Together: Overheadsmentioning
confidence: 95%
See 3 more Smart Citations
“…While we have not verified COMMTM's protocol extensions, they are similar to Coup's, which has reasonable verification complexity (by merging S and U, Coup requires no extra stable states and only 1-5 transient states [54]). …”
Section: Putting It All Together: Overheadsmentioning
confidence: 95%
“…The reason is that they rely on the coherence protocol, which operates in terms of reads and writes. Recently, Coup [54] has shown that the coherence protocol can be extended to support local and concurrent commutative updates. Coup allows multiple caches to simultaneously hold update-only permission to the same cache line.…”
Section: B Commutativity-aware Cache Coherencementioning
confidence: 99%
See 2 more Smart Citations
“…The concept of merging aggregation in caches has also been used to improve the scalability of parallel reduction. Although such a benefit is completely orthogonal to our work, we compare AIM against two parallel in-cache reduction mechanisms: PCLR [Garzarán et al 2001] and Coup [Zhang et al 2015]. Both techniques alleviate communication overheads of reduction by letting each core locally perform reduction on its private cache blocks, but unlike our work, they do not explore the energy perspective of reduction.…”
Section: Parallel Reduction In Cachesmentioning
confidence: 99%