2011
DOI: 10.3390/jlpea1030334
|View full text |Cite
|
Sign up to set email alerts
|

Error Detection and Recovery Techniques for Variation-Aware CMOS Computing: A Comprehensive Review

Abstract: While Moore's law scaling continues to double transistor density every technology generation, new design challenges are introduced. One of these challenges is variation, resulting in deviations in the behavior of transistors, most importantly in switching delays. These exaggerated delays widen the gap between the average and the worst case behavior of a circuit. Conventionally, circuits are designed to accommodate the worst case delay and are therefore becoming very limited in their performance advantages. Thu… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
5
0

Year Published

2012
2012
2017
2017

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(5 citation statements)
references
References 44 publications
0
5
0
Order By: Relevance
“…We assume that every processor has one delay fault tester that can detect failures in the read/write operation during boot or by periodically testing. Several delay fault detectors are proposed in the literature [12], [13], such as functional testers, architectural retiming, tunable replica circuits, Razor flipflops, and software level error detection techniques [13]. If the tester does not find any timing error, it sends a no-error signal to the reconfigurable pipeline.…”
Section: A On-demand Pipeline Stagesmentioning
confidence: 99%
“…We assume that every processor has one delay fault tester that can detect failures in the read/write operation during boot or by periodically testing. Several delay fault detectors are proposed in the literature [12], [13], such as functional testers, architectural retiming, tunable replica circuits, Razor flipflops, and software level error detection techniques [13]. If the tester does not find any timing error, it sends a no-error signal to the reconfigurable pipeline.…”
Section: A On-demand Pipeline Stagesmentioning
confidence: 99%
“…Therefore, a challenge of timing speculation based voltage overscaling lies in reducing overall timing penalty for error corrections. There have been several error correction methods [1], [2], [5], [6], [13], [14]. Among them, instruction replay [5] has the smallest design overhead.…”
Section: Introductionmentioning
confidence: 99%
“…Micro-rollback [13], [14] saves previous data of each pipeline stage to backup storage in each cycle. When the error signal reaches the last stage, the backup storage injects the last known correct data to each pipeline stage.…”
Section: Introductionmentioning
confidence: 99%
“…There are two main types of EDS architectures: a dynamic node [13][14][15] and a delayed shadow latch [7,8]. Of these architectures, the dynamic node can achieve a lower power and lower clock node capacitance.…”
Section: Timing-error Detectionmentioning
confidence: 99%
“…By allowing for the detection and correction of timing errors, TED systems are able to reduce the safety margins required to ensure the correct timing under PVTA variations [6][7][8]. Furthermore, TED can be used to mitigate thermal and power supply variations across the chip in massively parallel systems and take into account the effects of ageing without extra effort.…”
Section: Introductionmentioning
confidence: 99%