MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture
DOI: 10.1109/micro.1999.809454
|View full text |Cite
|
Sign up to set email alerts
|

Dynamic memory disambiguation in the presence of out-of-order store issuing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
15
0

Publication Types

Select...
7
1

Relationship

1
7

Authors

Journals

citations
Cited by 14 publications
(15 citation statements)
references
References 8 publications
0
15
0
Order By: Relevance
“…We choose this scheme as one of the bases for our evaluation and describe the algorithm and implementation in detail in Section 2.3.1. Yoaz et al [26] present a dynamic store distance based technique that uses less space than store set, but does not perform as well.Önder and Gupta [19] have shown that the restriction of issuing store instructions in-order can be removed and store instructions can be allowed to execute out-of-order if the memory order violation detection mechanism is modified appropriately. Furthermore, they have shown that memory order violation detection can be based on values, instead of addresses.Önder [17] has proposed a light-weight memory dependence predictor which uses multiple speculation levels in the hardware to direct load speculation.…”
Section: Memory Disambiguationmentioning
confidence: 99%
See 1 more Smart Citation
“…We choose this scheme as one of the bases for our evaluation and describe the algorithm and implementation in detail in Section 2.3.1. Yoaz et al [26] present a dynamic store distance based technique that uses less space than store set, but does not perform as well.Önder and Gupta [19] have shown that the restriction of issuing store instructions in-order can be removed and store instructions can be allowed to execute out-of-order if the memory order violation detection mechanism is modified appropriately. Furthermore, they have shown that memory order violation detection can be based on values, instead of addresses.Önder [17] has proposed a light-weight memory dependence predictor which uses multiple speculation levels in the hardware to direct load speculation.…”
Section: Memory Disambiguationmentioning
confidence: 99%
“…In this work, we use a mis-speculation handling mechanism very similar to that proposed byÖnder and Gupta [19]. Detection of the memory order violations is performed by recording the load instruction's effective address, the load's ID and the producer store's ID in a table called the speculative load table when a load instruction is issued speculatively.…”
Section: Figure 4: Store Table Implementationmentioning
confidence: 99%
“…Both load and store instructions are allowed to issue out-of-order [6,17] using the store set memory dependence predictor. Five models with different misprediction recovery mechanisms are evaluated and compared:…”
Section: Figure 10: Machine Modelmentioning
confidence: 99%
“…Onder and Gupta [17] have shown that when multiple successive stores to the same address write the same value, a subsequent load to that address may be safely moved prior to all of those stores except the first as long as the memory order violation detection hardware examines the values of loads and stores. Given the following sequence of memory operations,…”
Section: Value Distance and Speculationmentioning
confidence: 99%
“…Onder and Gupta [17] have shown that the restriction of issuing store instructions in-order can be removed and store instructions can be allowed to execute out-of-order if the memory order violation detection mechanism is modified appropriately. Furthermore, they have shown that memory order violation detection can be based on values, instead of addresses.…”
Section: Related Workmentioning
confidence: 99%