48th Midwest Symposium on Circuits and Systems, 2005. 2005
DOI: 10.1109/mwscas.2005.1594240
|View full text |Cite
|
Sign up to set email alerts
|

Designing pipelined systems with a clock period approaching pipeline register delay

Abstract: Abstract-A novel mesochronous pipelining scheme is described in this paper. The clock period in the proposed pipeline scheme is determined by the pipeline stage with largest difference between its minimum and maximum delays. This is a significant performance gain compared to conventional pipeline scheme where clock period is determined by stage with the maximum delay. Also, in the proposed scheme the number of pipeline stages and pipeline registers is small and the clock distribution scheme is simpler. An 8× ×… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
5
0

Year Published

2006
2006
2024
2024

Publication Types

Select...
3
2

Relationship

0
5

Authors

Journals

citations
Cited by 6 publications
(6 citation statements)
references
References 7 publications
0
5
0
Order By: Relevance
“…The minimum clock period of 2.689ns is produced and the clock frequency is 371.8MHz. The pipelined organization requires sophisticated complication techniques for modem processors [16]. The given MBM is able to test the pipelining approach in order to reduce the critical path.…”
Section: Implementation Resultsmentioning
confidence: 99%
“…The minimum clock period of 2.689ns is produced and the clock frequency is 371.8MHz. The pipelined organization requires sophisticated complication techniques for modem processors [16]. The given MBM is able to test the pipelining approach in order to reduce the critical path.…”
Section: Implementation Resultsmentioning
confidence: 99%
“…The mesochronous pipeline scheme (MPP) [10], [11], [12] modifies CPP scheme to gain higher performance, simplify the clock distribution and reduce power consumption. In the MPP scheme, like in the CPP scheme, a digital system is partitioned into pipeline stages.…”
Section: Mesochronous Pipeline Architecturementioning
confidence: 99%
“…The clock period of the MPP scheme is defined by (2). A complete derivation of (2) is presented in [11], [12].…”
Section: Mesochronous Pipeline Architecturementioning
confidence: 99%
See 2 more Smart Citations