2010 IEEE International Interconnect Technology Conference 2010
DOI: 10.1109/iitc.2010.5510708
|View full text |Cite
|
Sign up to set email alerts
|

Demonstration of a reliable high-performance and yielding Air gap interconnect process

Abstract: Capacitance coupling in copper low-k interconnects can be further reduced by implementing Air gaps in the intralayer dielectric. This paper describes the evaluation of an integrated Air gap technology using 32 and 22 nm node technology vehicles. Electrical, reliability, and yield results are presented.

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
7
0
1

Year Published

2012
2012
2022
2022

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 17 publications
(8 citation statements)
references
References 1 publication
(1 reference statement)
0
7
0
1
Order By: Relevance
“…Then, the pinch-off point to close the AG becomes higher, resulting in the risk of contact with the trench bottom of the upper metal level. Second, the AG is prohibited beside the upper via [11][12][13][14]. If a part of the via bottom is outside of the metal line because of size and overlay variation, the via hole is connected to the AG cavity.…”
Section: Design Restrictionsmentioning
confidence: 99%
See 1 more Smart Citation
“…Then, the pinch-off point to close the AG becomes higher, resulting in the risk of contact with the trench bottom of the upper metal level. Second, the AG is prohibited beside the upper via [11][12][13][14]. If a part of the via bottom is outside of the metal line because of size and overlay variation, the via hole is connected to the AG cavity.…”
Section: Design Restrictionsmentioning
confidence: 99%
“…The ultimate solution for a low k-value is the exclusion of all materials from the IMD, which is called an air gap (AG). There are two types of AG processes: (1) removal of the sacrificial material via thermal decomposition or chemical treatment [6][7][8][9][10] through the upper dielectric layer and (2) etching back the IMD followed by pinch-off of the next IMD deposition [11][12][13][14][15]. In the latter case, the AG can be formed selectively at the critical path, leaving dielectric materials in other places, which can retain the mechanical strength.…”
Section: Introductionmentioning
confidence: 99%
“…3, copper traces and vias present in the ULK layers are not modeled in this simulation. The total strain energy release rate G is calculated using equation (2) for both the crack tips and is plotted as shown in Fig. 5.…”
Section: Strain Energy Release Ratementioning
confidence: 99%
“…The 2011 international technology roadmap for semiconductors (ITRS) predicts that the effective dielectric constant (k) of the interlayer dielectrics (ILDs) would scale down linearly in coming years and reach 2.15-2.46 by 2020. Proposed fabrication solutions to reduce k include introduction of air pockets in the dielectric layers by non-conformal deposition [2] or removal of sacrificial materials after multi-level interconnects [3], [4]. However, reduction of k results in reduction of the mechanical strength of these layers [5], [6].…”
mentioning
confidence: 99%
“…Accordingly, the date forecasted by the International Technology Roadmap for Semiconductors (ITRS) for insertion of k < 2.5 materials into to high volume interconnect manufacturing has been pushed back several years in a row. 24 These difficulties have driven some corporations to consider more complex and/or expensive means for reducing capacitance such as pore stuffing / filling 25 and selective formation of air-gaps between metal lines 26 .…”
Section: Introductionmentioning
confidence: 99%