Advances in Resist Materials and Processing Technology XXV 2008
DOI: 10.1117/12.772645
|View full text |Cite
|
Sign up to set email alerts
|

Chemically amplified fullerene resists for e-beam lithography

Abstract: The minimum lithographic feature size for microelectronic fabrication continues to shrink, and resist properties are beginning to dominate the achievable resolution. There is a strong need for a high resolution, high sensitivity resist for future nodes that is not met by conventional polymeric resists at this time. Molecular resists, such as fullerene derivatives have the potential for lower LWR and improved resolution, but have typically been insufficiently sensitive to irradiation. Here we present a fulleren… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4

Citation Types

0
4
0

Year Published

2009
2009
2016
2016

Publication Types

Select...
3
2
2

Relationship

1
6

Authors

Journals

citations
Cited by 8 publications
(4 citation statements)
references
References 26 publications
0
4
0
Order By: Relevance
“…17 Using triarylsulfonium hexafluoroantimonate PAG and an epoxy novolac crosslinker a resolution of ~12 nm for sparse features, 20 nm half pitch and a sensitivity of less than 10 C/cm 2 have been achieved. 18,19 The resist also exhibits a low activation energy contributing to its wide process latitude and stability to post exposure delay. 20 Etching with SF 6 using electron cyclotron resonance (ECR) microwave plasma shows an etch durability comparable to SAL601, although sub 50 nm hp features suffer from high sidewall roughness using ECR.…”
Section: Introductionmentioning
confidence: 99%
“…17 Using triarylsulfonium hexafluoroantimonate PAG and an epoxy novolac crosslinker a resolution of ~12 nm for sparse features, 20 nm half pitch and a sensitivity of less than 10 C/cm 2 have been achieved. 18,19 The resist also exhibits a low activation energy contributing to its wide process latitude and stability to post exposure delay. 20 Etching with SF 6 using electron cyclotron resonance (ECR) microwave plasma shows an etch durability comparable to SAL601, although sub 50 nm hp features suffer from high sidewall roughness using ECR.…”
Section: Introductionmentioning
confidence: 99%
“…6 It is commonly believed that lowering of polymer molecular weight gives a low LWR because of the reduction of dissolution unit size. [6][7][8][9] Molecular glass resist such as polyphenol, 10,11 calix arene, 12,13 and fullerene 14,15 is of great interest for its potential application for EUV resist from the standpoint of its uniformity and synthetic functionality to obtain a small size of matrix molecule. In contrast, little is known how low molecular weight of polymer affects EUV lithography performance at narrow pitch below hp 32 nm.…”
Section: Introductionmentioning
confidence: 99%
“…Molecular glasses have been explored to improve line edge roughness (LER) comparing to the conventional polymeric resists, whose performance issues was originating from chain entanglement, molecular size and composition distribution as well as acid diffusion control that hinders optimal lithographic performance [6,7]. Molecular glass resists such as polyphenol [8][9][10][11], calyx[n] arene [12][13][14][15], fullerene [16][17][18][19] and ladder cyclic molecule (noria) [20,21] are of great interest for its potential application for EUV resists. A series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix [4]resorcinarene derivatives that produces 30 nm line space patterns under EUV conditions was developed by Chang and co-workers [22], and the first report on sub-50 nm features was obtained by a molecular glass resist using standard processing conditions.…”
Section: Introductionmentioning
confidence: 99%