2015
DOI: 10.1145/2806891
|View full text |Cite
|
Sign up to set email alerts
|

Caffeine

Abstract: Aggressive prefetching improves system performance by hiding and tolerating off-chip memory latency. However, on a multicore system, prefetchers of different cores contend for shared resources and aggressive prefetching can degrade the overall system performance. The role of a prefetcher aggressiveness engine is to select appropriate aggressiveness levels for each prefetcher such that shared resource contention caused by prefetchers is reduced, thereby improving system performance. State-of-the-art prefetcher … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
5
0

Year Published

2017
2017
2023
2023

Publication Types

Select...
4
2

Relationship

1
5

Authors

Journals

citations
Cited by 6 publications
(5 citation statements)
references
References 28 publications
0
5
0
Order By: Relevance
“…-We identify key issues with the state-of-the-art prefetcher aggressiveness control mechanisms: HPAC [Ebrahimi et al 2009] and CAFFEINE [Panda and Balachandran 2015] (Section 2). -We make two fundamental observations: (i) prefetch-accuracy strongly correlates with the ratio of number of L2 prefetch requests generated to an application's total requests (demands and prefetches from L1 and L2 caches) and (ii) prefetcher-caused interference (delay on demand misses) strongly correlates with the ratio of total prefetch requests to the total demands in the system (Section 3).…”
Section: Notion Of Band-pass Prefetchingmentioning
confidence: 99%
See 4 more Smart Citations
“…-We identify key issues with the state-of-the-art prefetcher aggressiveness control mechanisms: HPAC [Ebrahimi et al 2009] and CAFFEINE [Panda and Balachandran 2015] (Section 2). -We make two fundamental observations: (i) prefetch-accuracy strongly correlates with the ratio of number of L2 prefetch requests generated to an application's total requests (demands and prefetches from L1 and L2 caches) and (ii) prefetcher-caused interference (delay on demand misses) strongly correlates with the ratio of total prefetch requests to the total demands in the system (Section 3).…”
Section: Notion Of Band-pass Prefetchingmentioning
confidence: 99%
“…Prior works on prefetching focused both on exploiting simple sequential access patterns (e.g., Smith [1978], Jouppi [1990], and Palacharla and Kessler [1994]) in applications as well as on complex, nonsequential access patterns [Joseph and Grunwald 1997;Lai et al 2001;Nesbit and Smith 2004;Pugsley et al 2014;Shevgoor et al 2015;Michaud 2016]. While several works focused on maximizing the benefit of prefetching, some other works studied the interference caused by prefetching both in single-core [Zhuang and Lee 2003;Srinath et al 2007;Lin 2006, 2009;Wu et al 2011;Seshadri et al 2015] and multicore [Ebrahimi et al 2009[Ebrahimi et al , 2011Panda and Balachandran 2015;Jimenez et al 2015;Panda 2016;Ishii et al 2012] contexts. In this section, we discuss works that are close to our work.…”
Section: Related Workmentioning
confidence: 99%
See 3 more Smart Citations