Lecture Notes in Computer Science
DOI: 10.1007/978-3-540-71431-6_1
|View full text |Cite
|
Sign up to set email alerts
|

Architectural Exploration of the ADRES Coarse-Grained Reconfigurable Array

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
45
0

Publication Types

Select...
5
3
1

Relationship

0
9

Authors

Journals

citations
Cited by 84 publications
(46 citation statements)
references
References 3 publications
1
45
0
Order By: Relevance
“…These coarse grain blocks have dedicated routing channels. ADRES ( [18]) implemented and eval-uated several inter-connection topologies that includes simple mesh and more complex schemes, where one functional unit can transmit data to non-adjacent functional units in the same row or non-adjacent functional units in the same column. Pact XPP Technologies [21] propos architecture, which has a hierarchical array of coarse Processing Array Elements (PAEs) and a packet core is comprised of a rectangular array of ALU reconfigurable fabric architectures have sequential structure and use local registers or shared register files for storing data values.…”
Section: Background and Literature Reviewmentioning
confidence: 99%
“…These coarse grain blocks have dedicated routing channels. ADRES ( [18]) implemented and eval-uated several inter-connection topologies that includes simple mesh and more complex schemes, where one functional unit can transmit data to non-adjacent functional units in the same row or non-adjacent functional units in the same column. Pact XPP Technologies [21] propos architecture, which has a hierarchical array of coarse Processing Array Elements (PAEs) and a packet core is comprised of a rectangular array of ALU reconfigurable fabric architectures have sequential structure and use local registers or shared register files for storing data values.…”
Section: Background and Literature Reviewmentioning
confidence: 99%
“…The transition from homogeneous to heterogeneous structures has been another recent development; notable implementations of heterogeneous CGRAs include RSPA [17] and ADRES [18]. Heterogeneity is another factor increasing differences in computation time, as slower and faster cells have to cohabit on the same array.…”
Section: A Architecturesmentioning
confidence: 99%
“…overview given in [1], the aspect of applying specially suited power reduction techniques on such architectures has not been covered in depth, so far. Often single figures and breakdowns of power consumption are given, like in [2] or [3], but no attempts were made to exploit architecture-specific features to reach a better power and energy efficiency, besides the configuration cache optimization given in [1]. In this work we combine the custom hierarchical and fine-grained automatic clock gating technique, see Section 3.2, and obtain a considerable increase in power efficiency for our case study CGRA.…”
Section: Related Workmentioning
confidence: 99%
“…Compare e.g. the data for the ADRES 4x4 design [3] accelerating a video processing application, with the relative total power and area contribution of the configuration memory of 37%. Regarding the fact that in CGRAs these components are active only a small percentage of the computation time, they are the ideal objects for modulelevel power reduction techniques, like for example clock and power gating.…”
Section: Component-level Power Minimizationmentioning
confidence: 99%