2014
DOI: 10.1145/2629677
|View full text |Cite
|
Sign up to set email alerts
|

An Evaluation of High-Level Mechanistic Core Models

Abstract: Large core counts and complex cache hierarchies are increasing the burden placed on commonly used simulation and modeling techniques. Although analytical models provide fast results, they do not apply to complex, many-core shared-memory systems. In contrast, detailed cycle-level simulation can be accurate but also tends to be slow, which limits the number of configurations that can be evaluated. A middle ground is needed that provides for fast simulation of complex many-core processors while still providing ac… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
136
0
1

Year Published

2015
2015
2022
2022

Publication Types

Select...
4
3
3

Relationship

1
9

Authors

Journals

citations
Cited by 276 publications
(148 citation statements)
references
References 45 publications
(47 reference statements)
0
136
0
1
Order By: Relevance
“…We use the Sniper multi-core simulator [4], version 6.0, and added support for dynamically changing core and cache parameters. The core adaptation and DVFS transitions combined take 2 µs during which no computations can be performed -a conservative approach.…”
Section: Methodsmentioning
confidence: 99%
“…We use the Sniper multi-core simulator [4], version 6.0, and added support for dynamically changing core and cache parameters. The core adaptation and DVFS transitions combined take 2 µs during which no computations can be performed -a conservative approach.…”
Section: Methodsmentioning
confidence: 99%
“…Power consumption function is obtained through simulation with Sniper [5] and McPAT [22]. We develop a simulator-based java, and configure the important input parameters such as VM types as Amazon EMR.…”
Section: Performance Evaluationmentioning
confidence: 99%
“…The first experiment considers a simple case study based on the Nehalem processor, the microarchitecture of which is assumed to be implemented at a 45 nm technology node [26]. To generate the power trace of this microarchitecture, we used the Sniper multicore simulator 6.1 [27] to simulate benchmarks of Splash-2 [28] and Parsec-2.1 [29]. McPAT 1.0 [30] converts the trace to power trace as input for the thermal simulation.…”
Section: -D Thermal Analysismentioning
confidence: 99%