Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on Graphics Hardware 2004
DOI: 10.1145/1058129.1058142
|View full text |Cite
|
Sign up to set email alerts
|

A flexible simulation framework for graphics architectures

Abstract: In

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
50
0

Year Published

2005
2005
2024
2024

Publication Types

Select...
3
3
2

Relationship

1
7

Authors

Journals

citations
Cited by 59 publications
(50 citation statements)
references
References 24 publications
0
50
0
Order By: Relevance
“…Existing graphics-oriented GPU simulators include Qsilver [43], which does not model programmable shaders, and ATTILLA [10], which focuses on graphics specific features. Ryoo et al [41] use CUDA to speedup a variety of relatively easily parallelizable scientific applications.…”
Section: Related Workmentioning
confidence: 99%
“…Existing graphics-oriented GPU simulators include Qsilver [43], which does not model programmable shaders, and ATTILLA [10], which focuses on graphics specific features. Ryoo et al [41] use CUDA to speedup a variety of relatively easily parallelizable scientific applications.…”
Section: Related Workmentioning
confidence: 99%
“…The primary advantage of using Chromium is that we ensure that our workloads are not contrived. Although we use Brook and Chromium without modification, we have enhanced the Qsilver graphics architectural simulator [10,11] to model the necessary aspects of the fragment pipeline. A detailed description of our modifications to QSilver and our experimental setup are presented in sections 3 and 4.…”
Section: Related Workmentioning
confidence: 99%
“…Qsilver is a simulation framework for graphics architectures that can simulate low-level GPU activity for any existing OpenGL application [10]. Qsilver uses Chromium [7] to intercept and transform an OpenGL application's API calls and create an annotated trace that encapsulates geometry, timing, and state information.…”
Section: Simulation Setupmentioning
confidence: 99%
“…In this paper, we use Qsilver [17] to explore a series of thermal management techniques, ranging from classical dynamic voltage scaling (DVS) and clock gating, to techniques like multiple clock domains and temperature-aware floorplans, both of which specifically exploit the parallelism of graphics workloads. Though we also describe two energy efficiency experiments, one varying throughput in the vertex and fragment engines and the other using Multiple Clock Domains or MCD while varying leakage rate, we choose thermal management as a driving problem because we feel it is an area with rich rewards for graphics architecture and an area that can draw a great deal from past work in general purpose processor design.…”
Section: Introductionmentioning
confidence: 99%