2007
DOI: 10.1002/smll.200700324
|View full text |Cite
|
Sign up to set email alerts
|

A Chemically Amplified Fullerene‐Derivative Molecular Electron‐Beam Resist

Abstract: Current lithographic resists depend on large polymeric materials, which are starting to limit further improvements in line-width roughness and feature size. Fullerene molecular resists use much smaller molecules to avoid this problem. However, such resists have poor radiation sensitivity. Chemical amplification of a fullerene derivative using an epoxy crosslinker and a photoacid generator is demonstrated. The sensitivity of the material is increased by two orders of magnitude, and 20-nm line widths are pattern… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
24
0

Year Published

2008
2008
2016
2016

Publication Types

Select...
8
1

Relationship

1
8

Authors

Journals

citations
Cited by 34 publications
(24 citation statements)
references
References 24 publications
0
24
0
Order By: Relevance
“…These are highly improved when compared with the e-beam dose values reported in the literature for other nCARs, such as AR-N7500, 17,19 maN2400, 17,20 HSQ, 21 and Fullerol. 22 …”
Section: Contrast Analysismentioning
confidence: 99%
See 1 more Smart Citation
“…These are highly improved when compared with the e-beam dose values reported in the literature for other nCARs, such as AR-N7500, 17,19 maN2400, 17,20 HSQ, 21 and Fullerol. 22 …”
Section: Contrast Analysismentioning
confidence: 99%
“…These materials show a very high etch resistance to the silicon substrate when compared to conventional organic resists. [22][23][24][25][26][27] The MAPDST-MMA copolymer was also tested under an etch recipe of CHF 3 ∕O 2 with a flow rate of 22.5∕2.5 sccm at a pressure of 80 mTorr with an RF power of 150 W for 1 min. In this case, the etch ratio for the MAPDST-MMA copolymer to the silicon dioxide was observed as 0.36∶1, which is also comparable to conventional organic resists under the same conditions.…”
Section: Etch Resistancementioning
confidence: 99%
“…Although the factors determining the RLS trade-off relationship are still under investigation, 25,26 it has been shown that CARs based on molecular 27,28 rather than polymeric resists have the potential for lower line width roughness (LWR) 29 and higher resolution while maintaining good sensitivity. We have previously developed a series of chemically amplied e-beam resists based on fullerene derivatives containing polyethyleneglycol side chains, 30,31 which have shown high sensitivity, high resolution, good LWR and excellent etch durability.…”
mentioning
confidence: 99%
“…Molecular and polymeric fullerene derivatives have been proposed for chemicallyamplified electron-beam resist applications [219,220]. Fullerene derivatives containing polyethyleneglycol side chains exhibit high sensitivity and resolution, good lower line width roughness, and excellent etch durability [221]. However, halogenated solvents are required for the spincasting and development of these resists, and such solvents are not acceptable (safe) for commercial use.…”
Section: E1 Catalytic Activitymentioning
confidence: 99%