1993 IEEE International Solid-State Circuits Conference Digest of Technical Papers 1993
DOI: 10.1109/isscc.1993.280074
|View full text |Cite
|
Sign up to set email alerts
|

A 300 MHz 115 W 32 b bipolar ECL microprocessor with on-chip caches

Abstract: A full-custom 32b ECL microprocessor uses a l.0pm-drawn single-poly technology with four layers of aluminum and one layer of gold interconnect. The 15.4x12.6mm2 die contains 468k bipolar transistors (ft=13GHz) and 206kresistors. Figure 1 shows the chip before gold metalization and gives the floor plan. A breakdown of transistor and area usage is given in Table 1. The chip implements a subset of an existing RISC architecture [21. Hardware support for floating-point arithmetic and virtual memory is not implemen… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2

Citation Types

0
4
0

Year Published

1993
1993
1997
1997

Publication Types

Select...
3
2
1

Relationship

1
5

Authors

Journals

citations
Cited by 11 publications
(4 citation statements)
references
References 1 publication
0
4
0
Order By: Relevance
“…If we can characterize internal dynamic power dissipation of the switch chip according to (18), we can determine a figure of merit for each switch implementation, given by (26) This value is calculated for each of our five sample switches, and the results are shown in Table III.…”
Section: B Internal Dynamic Powermentioning
confidence: 99%
See 1 more Smart Citation
“…If we can characterize internal dynamic power dissipation of the switch chip according to (18), we can determine a figure of merit for each switch implementation, given by (26) This value is calculated for each of our five sample switches, and the results are shown in Table III.…”
Section: B Internal Dynamic Powermentioning
confidence: 99%
“…Successful implementations will require careful power management to achieve a low value for , and to dissipate heat efficiently. We estimate total power dissipation, including optical I/O, for the 1.3 Tb/s 0.25 m single-chip switch to be 156 W. An example of dealing with this order of power dissipation is the "thermosiphon" attached to a 115 W microprocessor in [26]. Supply current could be routed by a gold bus bar arrangement similar to that used in [26].…”
Section: B Internal Dynamic Powermentioning
confidence: 99%
“…This assures that the transistors Q1 and Q2 are driven in opposite phases thus eliminating direct current path from Vcc to Low to High transition of the output stage is achieved by driving Q1. The output node will assume high value and after a set delay through the feedback path (4) it will enable a current path in the current mirror of the controlled current source (2). However, it will not result in high current because the input from the logic stage (1) will keep the controlled current source in the low current mode.…”
Section: Ecl Tree This Is Not Possible In Ac-cs-apd-ecl [6]mentioning
confidence: 99%
“…The transistor Q2 will be driven by a high current from the current mirror thus resulting in a fast transition from high to Zow at the output. After this transition the output value low will be passed with same delay in the feedback stage (4) to the controlled current source (2). The path of the current mirror will be disconnected, thus reducing the current driving Q2.…”
Section: Ecl Tree This Is Not Possible In Ac-cs-apd-ecl [6]mentioning
confidence: 99%