2015 Symposium on VLSI Technology (VLSI Technology) 2015
DOI: 10.1109/vlsit.2015.7223698
|View full text |Cite
|
Sign up to set email alerts
|

3DVLSI with CoolCube process: An alternative path to scaling

Abstract: 3D VLSI with a CoolCube TM integration allows vertically stacking several layers of devices with a unique connecting via density above a million/mm². This results in increased density with no extra cost associated to transistor scaling, while benefiting from gains in power and performance thanks to wire-length reduction. CoolCube TM technology leads to high performance top transistors with Thermal Budgets (TB) compatible with bottom MOSFET integrity. Key enablers are the dopant activation by Solid Phase Epitax… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
27
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
4
4
1

Relationship

1
8

Authors

Journals

citations
Cited by 108 publications
(27 citation statements)
references
References 4 publications
0
27
0
Order By: Relevance
“…As our lasers are integrated before processing the BEOL, only the underlying (Bi-)CMOS performance could be affected by this process step. However, stable FET performance has been demonstrated with this thermal budget [29] and thus CMOS compatibility is ensured throughout this process. The devices were finally capped with 800 nm of PECVD-deposited SiO 2 , vias were etched down to the contact metals and a second metal layer was deposited by sputtering and patterned by dry etching.…”
Section: Fabricationmentioning
confidence: 99%
“…As our lasers are integrated before processing the BEOL, only the underlying (Bi-)CMOS performance could be affected by this process step. However, stable FET performance has been demonstrated with this thermal budget [29] and thus CMOS compatibility is ensured throughout this process. The devices were finally capped with 800 nm of PECVD-deposited SiO 2 , vias were etched down to the contact metals and a second metal layer was deposited by sputtering and patterned by dry etching.…”
Section: Fabricationmentioning
confidence: 99%
“…Among the 3D monolithic technologies, 3D sequential LETI CoolCube TM technology [10] offers a fine 3D interconnect pitch compared to existing technologies. This feature the way for efficient 3D-VLSI circuits, aiming to reduce the congestion on the BEOL while providing real 3D routing possibilities [11].…”
Section: D Coolcube Tm Technologymentioning
confidence: 99%
“…Today, multi-stacks of 4 silicon wafers, thinned to 5 μm with 0.25-1 μm TSV features, have been demonstrated , as has functional control of memory on one wafer using logic on another . Wafers may be fabricated in parallel and then bonded or bonded first and then processed sequentially [Batude et al 2015], with different tradeoffs. For this application, we favor the parallel approach because each wafer can be processed and tested independently, leading to higher yield and robustness.…”
Section: Current Status Of 3d Wafer-scale Integrationmentioning
confidence: 99%